Minggu, 17 Juni 2012

SEVEN SEGMENT


Seven segment display adalah sebuah rangkaian yang dapat menampilkan angka-angka desimal maupun heksadesimal. Seven segment display biasa tersusun atas 7 bagian yang setiap bagiannya merupakan LED (Light Emitting Diode) yang dapat menyala. Jika 7 bagian diode ini dinyalakan dengan aturan yang sedemikian rupa, maka ketujuh bagian tersebut dapat menampilkan sebuah angka heksadesimal.
Seven-segment display membutuhkan 7 sinyal input untuk mengendalikan setiap diode di dalamnya. Setiap diode dapat membutuhkan input HIGH atau LOW untuk mengaktifkannya, tergantung dari jenis seven-segmen display tersebut. Jika Seven-segment bertipe common-cathode, maka dibutuhkan sinyal HIGH untuk mengaktifkan setiap diodenya. Sebaliknya, untuk yang bertipecommon-annide, dibutuhkan input LOW untuk mengaktifkan setiap diodenya.
Salah satu cara untuk menghasilkan sinyal-sinyal pengendali dari suatu seven segment display yaitu dengan menggunakan sebuah sevent-segment decoder. Seven-segment decoder membutuhkan 4 input sebagai angka berbasis heksadesimal yang dinyatakan dalam bahasa mesin (bilangan berbasis biner) kemudian sinyal-sinyal masukan tersebut akan “diterjemahkan” decoder ke dalam sinyal-sinyal pengendali seven-segment display. Sinyal-sinyal pengendali berisi 7 sinyal yang setiap sinyalnya mengatur aktif-tidaknya setiap LED.
Selanjutnya kita akan mencoba merancang sebuah hex to seven-segment decoder untuk seven-segment berjenis common-cathode, yakni seven-segment yang setiap LED nya aktif jika diberi sinyal HIGH atau 1. Gambar ilustrasi dan tabel kebenaran dari dekoder tersebut adalah sebagai berikut.
tabel kebenaran pada 7 segment decoder
tabel kebenaran pada 7 segment decoder

Tidak ada komentar:

Posting Komentar